[ µðÁöÅ» ³í¸®È¸·Î ±âÃÊ ]
 
   Subtraction_8bit : sub_8bit.gdf
                             sub_4bit.vhd

   [SCH]

 

   [VHDL]

            -- sub_8bit.vhd

            LIBRARY ieee;
            USE ieee.std_logic_1164.all;
            USE ieee.std_logic_arith.all;

            ENTITY sub_8bit IS
            PORT (  a, b   : in unsigned(7 downto 0);
                           c    : out integer);
            END sub_8bit;

            ARCHITECTURE maxpld OF sub_8bit IS 
            BEGIN
               c <= conv_integer(a - b); 
            END maxpld;
 


   [RESULT]

 
HOME | TOP | PREVIOUS | NEXT ]