[ µðÁöÅ» ³í¸®È¸·Î ±âÃÊ ]
 
   UP Counter_8bit : upcounter_8bit.gdf
                              upcounter_8bit.vhd

   [SCH]

 

   [VHDL]

            -- upcounter_8bit.vhd

            LIBRARY ieee; 
            USE ieee.std_logic_1164.all; 
            USE ieee.std_logic_signed.all;
            USE ieee.std_logic_arith.all; 

            ENTITY upcounter_8bit IS 
            PORT (  clk, reset  : in std_logic; 
                       count_out  : out std_logic_vector(7 downto 0));
            END upcounter_8bit; 

            ARCHITECTURE maxpld OF upcounter_8bit IS 
            signal tmp : std_logic_vector(7 downto 0);
            BEGIN  
               count_out <= tmp;
               process(clk, reset)
               begin
                  if (reset= '1') then
                     tmp <= "00000000";
                  elsif (clk='1' and clk'event) then
                     tmp <= tmp + '1';
                  end if;
               end process;
             END maxpld;
 


   [RESULT]

 
HOME | TOP | PREVIOUS | NEXT]