[ µðÁöÅ» ³í¸®È¸·Î ±âÃÊ ]
 
   1BIT XNOR GATE : xnor_1bit.gdf
                              xnor_1bit.vhd

   [SCH]

   [VHDL]

            -- xnor_1bit.vhd

            LIBRARY ieee;
            USE ieee.std_logic_1164.all;
            USE ieee.std_logic_arith.all;

            ENTITY xnor_1bit IS
            PORT (  x, y   : in std_logic;
                            o   : out std_logic);
            END xnor_1bit;

            ARCHITECTURE maxpld OF xnor_1bit IS 
            BEGIN

            o <= x XNOR y;

            END maxpld;
 


   [RESULT]
HOME | TOP | PREVIOUS | NEXT ]