[ µðÁöÅ» ³í¸®È¸·Î ±âÃÊ ]
 
   DECODER 3to8 ENABLE : dec3to8_en.gdf
                                         dec3to8_en.vhd

   [SCH]

   [VHDL]

            -- dec3to8_en.vhd 

            LIBRARY ieee; 
            USE ieee.std_logic_1164.all; 
            USE ieee.std_logic_arith.all; 

            ENTITY dec3to8_en IS 
            PORT (  a   : in std_logic_vector(2 downto 0); 
                        enable  : in std_logic; 
                        o   : out std_logic_vector(7 downto 0)); 
            END dec3to8_en ; 

            ARCHITECTURE maxpld OF dec3to8_en IS 
            BEGIN 
               PROCESS
               begin 
                  if (enable='0') then
                     case a is 
                                when "000" => o <= "00000001"; 
                                when "001" => o <= "00000010"; 
                                when "010" => o <= "00000100"; 
                                when "011" => o <= "00001000";
                                when "100" => o <= "00010000";
                                when "101" => o <= "00100000";
                                when "110" => o <= "01000000";
                                when others => o <= "10000000"; 
                      end case;
                  else
                     o <= "00000000";
                  end if;
               END PROCESS; 
             END maxpld; 
 


   [RESULT]
HOME | TOP | PREVIOUS | NEXT ]