[ µðÁöÅ» ³í¸®È¸·Î ±âÃÊ ]
 
   DFF_Rising Edge_Asynchronous Reset :  dff_re_asr.gdf                             
                                                                dff_re_asr.vhd

   [SCH]

   [VHDL]

            -- dff_re_asR.vhd

            LIBRARY ieee;
            USE ieee.std_logic_1164.all;
            USE ieee.std_logic_arith.all;

            ENTITY dff_re_asR IS
            PORT (  data, clk, reset   : in std_logic;
                                         q       : out std_logic);
            END dff_re_asR;

            ARCHITECTURE maxpld OF dff_re_asR IS 
            BEGIN
               process(clk, reset)
               begin
                  if (reset = '0') then
                     q <= '0';
                  elsif(clk='1' and clk'event) then
                  q <= data;
                  end if;
               end process;
             END maxpld;

 


   [RESULT]

 
HOME | TOP | PREVIOUS | NEXT ]