[ µðÁöÅ» ³í¸®È¸·Î ±âÃÊ ]
 
   Adder_Integer Type 4bit_2 : adder_4bit.gdf
                                           adder_4bit.vhd

   [SCH]

 

   [VHDL]

            -- adder_4bit.vhd

            LIBRARY ieee;
            USE ieee.std_logic_1164.all;
            USE ieee.std_logic_arith.all;

            ENTITY adder_4bit IS
            PORT (  a, b   : in unsigned(3 downto 0);
                           c    : out integer);
            END adder_4bit;

            ARCHITECTURE maxpld OF adder_4bit IS 
            BEGIN
               c <= conv_integer(a + b); 
            END maxpld;

 


   [RESULT]

 
HOME | TOP | PREVIOUS | NEXT ]